Show simple item record

Reconfigurable computing for reactive robotics using open-source FPGAs

dc.contributor.authorCañas, José M.
dc.contributor.authorFernández, Jesús
dc.contributor.authorVega, Julio
dc.contributor.authorOrdóñez, Juan
dc.date.accessioned2024-02-01T08:00:23Z
dc.date.available2024-02-01T08:00:23Z
dc.date.issued2021-12-22
dc.identifier.citationJosé M. Cañas, Jesús Fernández-Conde, Julio Vega, and Juan Ordóñez. Reconfigurable computing for reactive robotics using open-source FPGAs. Electronics 2022, 11(1), January 2022es
dc.identifier.issn2079-9292
dc.identifier.urihttps://hdl.handle.net/10115/29395
dc.description.abstractReconfigurable computing provides a paradigm to create intelligent systems different from the classic software computing approach. Instead of using a processor with an instruction set, a full stack of middleware, and an application program running on top, the field-programmable gate arrays (FPGAs) integrate a cell set that can be configured in different ways. A few vendors have dominated this market with their proprietary tools, hardware devices, and boards, resulting in fragmented ecosystems with few standards and little interoperation. However, a new and complete toolchain for FPGAs with its associated open tools has recently emerged from the open-source community. Robotics is an expanding application field that may definitely benefit from this revolution, as fast speed and low power consumption are usual requirements. This paper hypothesizes that basic reactive robot behaviors may be easily designed following the reconfigurable computing approach and the stateof-the-art open FPGA toolchain. They provide new abstractions such as circuit blocks and wires for building intelligent robots. Visual programming and block libraries make such development painless and reliable. As experimental validation, two reactive behaviors have been created in a real robot involving common sensors, actuators, and in-between logic. They have been also implemented using classic software programming for comparison purposes. Results are discussed and show that the development of reactive robot behaviors using reconfigurable computing and open tools is feasible, also achieving a high degree of simplicity and reusability, and benefiting from FPGAs’ low power consumption and time-critical responsiveness.es
dc.language.isoenges
dc.publisherMDPIes
dc.rightsAttribution 4.0 Internacional*
dc.rights.urihttp://creativecommons.org/licenses/by/4.0/*
dc.subjectrobotics; reconfigurable computing; open-source FPGAses
dc.titleReconfigurable computing for reactive robotics using open-source FPGAses
dc.typeinfo:eu-repo/semantics/articlees
dc.identifier.doi10.3390/electronics11010008es
dc.rights.accessRightsinfo:eu-repo/semantics/openAccesses


Files in this item

This item appears in the following Collection(s)

Show simple item record

Attribution 4.0 InternacionalExcept where otherwise noted, this item's license is described as Attribution 4.0 Internacional